Verilog expert required for task on Digital Systems

Closed Posted 5 years ago Paid on delivery
Closed Paid on delivery

Verilog expert required for task on Digital Systems

Deadline 2 Days

Budget 30 usd.

Details will be shared with interesting bidders

Electrical Engineering Electronics Engineering FPGA Verilog / VHDL

Project ID: #17497183

About the project

15 proposals Remote project Active 5 years ago

15 freelancers are bidding on average $64 for this job

ahmedmohamed85

Dear sir I have more than 10 years experience in digital design using Verilog please check my profile also please message me so that we can discuss best regards

$30 USD in 1 day
(502 Reviews)
8.1
hungfreelancer

Hello, I am working in the ASIC design flow for 10 years. I have experience in using VCS FOR SIMULATION, DC for synthesis and ICC for layout. I have just completed the RTL and Testbench code for design multi core chip More

$30 USD in 2 days
(8 Reviews)
4.2
prakashddit

have expertise in Verilog since 3+ years

$35 USD in 1 day
(7 Reviews)
3.7
yethishwar

Sir ,with my one year experience and skills I possess I will give u confident that I can work and finish the project within one day

$30 USD in 1 day
(0 Reviews)
0.0
prathyushakasula

I did internship for 8 months in vlsi startup and took 6 months course and 2 months internship in my training institution. I'm having good experience in verilog.

$155 USD in 3 days
(0 Reviews)
0.0
vijayskc

Have commendable knowledge in Verilog. Also worked with various FPGAs. Also, have the ability to understand the software side of Synthesis engines.

$35 USD in 2 days
(0 Reviews)
0.0
NienYi07

Hardware designer in silicon valley. Expert HDL knowledge and implementation in Verilog. 100% satisfaction grantee and on time delivery.

$30 USD in 2 days
(0 Reviews)
2.9
andytanase

I'm using Xilinx FPGA programming platforms and Vivado, Vivado HLS, Xilinx SDK, Xilinx System Generator MATLAB/Simulink tools. Hardware languages known: Verilog, VHDL (beginner), SystemC (including synthesizable versi More

$35 USD in 2 days
(0 Reviews)
0.0
Brsr43

I have been working as an RTL design & verification engineer for the past 4 years Relevant Skills and Experience Verilog, system verilog, uvm

$155 USD in 3 days
(0 Reviews)
0.0
nhu95129

You pay what you get: 20 years of hands-on industry experience in both ASIC and FPGA design flow. Fifteen chips design in Verilog.

$250 USD in 3 days
(0 Reviews)
0.0
Poojasarawgi117

Hi, I have done Masters in VLSI and have completed numerous Verilog/Vhdl projects. From timing to synthesis , I have expertise on HDL design.

$30 USD in 3 days
(0 Reviews)
0.0